FPGA MAX10 (2)

このブログの初めに扱って以来、久しぶりとなってしまったMAX10をテストしました。
久しぶりとなってしまったのは、やはりUSB-Blasterなしでは転送が手間だったことが大きかったです。そして今回これを手に入れたので、使ってみました。

参考サイト : http://i8087.hatenablog.com/entry/20160427/1461756898

このサイトにある、LEDの点滅を実際に試してみました。
環境は前回と同じで、手順もUSB-Blasterに書き込むところ以外は同じです。HDLは、Verilogではなく、VHDLをそのまま使わせていただきました。

新しいプロジェクト作成

デバイス設定

VHDL選択

ソース作成

Pin Planner

Start Compilation

ハードウェア設定 – USB-Blaster選択(ドライバーは、Quartusインストールディレクトリにあり)

Startで転送

転送後すぐにLEDが高速で点滅

やはりUSB-Blasterは便利ですね。
今回は動作確認だけですが、次はVerilogで何か作りたいと思います。