このブログの初めに扱って以来、久しぶりとなってしまったMAX10をテストしました。
久しぶりとなってしまったのは、やはりUSB-Blasterなしでは転送が手間だったことが大きかったです。そして今回これを手に入れたので、使ってみました。
参考サイト : http://i8087.hatenablog.com/entry/20160427/1461756898
このサイトにある、LEDの点滅を実際に試してみました。
環境は前回と同じで、手順もUSB-Blasterに書き込むところ以外は同じです。HDLは、Verilogではなく、VHDLをそのまま使わせていただきました。
新しいプロジェクト作成
デバイス設定
VHDL選択
ソース作成
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 |
library ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity odyssey_m10 is port ( CLK : in std_logic; PB0 : in std_logic; LED0 : out std_logic; LED1 : out std_logic ); end odyssey_m10; architecture rtl of odyssey_m10 is signal counter : std_logic_vector(23 downto 0) := (others=>'0'); begin process(CLK) begin if(rising_edge(CLK)) then counter <= counter + '1'; end if; end process; LED0 <= counter(23); end rtl; |
ハードウェア設定 – USB-Blaster選択(ドライバーは、Quartusインストールディレクトリにあり)
転送後すぐにLEDが高速で点滅
やはりUSB-Blasterは便利ですね。
今回は動作確認だけですが、次はVerilogで何か作りたいと思います。